创新安全模式启动

安全模式启动  时间:2021-02-28  阅读:()
电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
com电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
com前言Zynq系列是赛灵思公司(Xilinx)推出的行业第一个可扩展处理平台,旨在为视频监视、汽车驾驶员辅助、医疗电子以及工厂自动化等高端嵌入式应用提供所需的处理与计算性能水平.
Zynq推出后获得了产业的积极认可,尤其是中国客户的认可,目前,很多长航推出了基于Zynq的创新产品,2013年2月,Zynq器件开始量产,随着成本的下降,其应用领域日益拓广,很多嵌入式领域工程师也开始学习Zynq器件的开发,另外大量有关Zynq器件的书籍和开发例程也开始面市.
在与工程师的交流中,我们发现很多工程师需要了解有关Zynq器件的最基本的知识,在此基础上才可以进行深入的研究,因此,我们推出了《赛灵思Zynq器件设计开发300问》通过问答让大家了解Zynq器件的最基本知识,为进一步学习打下基础.
大家阅读300问后,对Zynq器件会有最基础最直观的了解,配合书中提高的链接和参考文档,可以进行Zynq器件的开发,另外,安富利也推出了基于Zynq器件的zedboard开发板,可以方便大家开发.
此开发板在电子创新网有售,售价2988元RMB,有很多嵌入式工程师已经购买.
本电子书的内容还需要进一步完善,请大家将您的需求发信到service@eetrend.
com为您未来会推出更新版本敬请留意.
谢谢大家,祝大家事业进步,学习有成!
张国斌电子创新网CEO2013年7月1日电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
com目录一、Zynq简介二、ZYNQ系列名称由来三、Zynq-7000为何不是FPGA四、ZYNQ系列-编程环境五、逻辑架构六、选择赛灵思Zynq-7000AllProgrammableSoC平台的九大理由七、Zynq开发300问八、开发资源大全电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
com一、Zynq简介Zynq系列是赛灵思公司(Xilinx)推出的行业第一个可扩展处理平台,旨在为视频监视、汽车驾驶员辅助以及工厂自动化等高端嵌入式应用提供所需的处理与计算性能水平.
二、ZYNQ系列名称由来Zynq这个词很容易让人联想到zinc,也就是电池、日光屏、合金制品和药品中最常见的化学元素锌.
锌与其他金属的合金可实现增强型功能,根据合金的不同对象表现为不同的色彩.
锌最常见的用途就是电镀.
那么这个名称与电镀之间有什么联系在2010年4月硅谷举行的嵌入式系统大会上,赛灵思发布了可扩展处理平台的架构详情,这款基于无处不在的ARM处理器的SoC可满足复杂嵌入式系统的高性能、低功耗和多核处理能力要求.
赛灵思可扩展处理平台芯片硬件的核心本质就是将通用基础双ARMCortex-A9MPCore处理器系统作为"主系统",结合低功耗28nm工艺技术,以实现高度的灵活性、强大的配置功能和高性能.
由于该新型器件的可编程逻辑部分基于赛灵思28nm7系列FPGA,因此该系列产品的名称中添加了"7000",以保持与7系列FPGA的一致性,同时也方便日后本系列新产品的命名.
除了芯片外,赛灵思Zynq-7000系列还构成了最终平台产品的基础.
赛灵思联盟计划生态系统和ARM互联社区的成员提供的软件开发与硬件设计实现工具、广泛采用的操作系统、调试器、IP及其他元素的工具就好像"电镀"在一起一样,从而使可扩展处1理平台成为了可能.
电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
com三、Zynq-7000为何不是FPGAZynq-7000可扩展处理平台是采用赛灵思新一代FPGA(Artix-7与Kintex-7FPGA)所采用的同一28nm可编程技术的最新产品系列.
可编程逻辑可由用户配置,并通过"互连"模块连接在一起,这样可以提供用户自定义的任意逻辑功能,从而扩展处理系统的性能及功能.
不过,与采用嵌入式处理器的FPGA不同,Zynq-7000产品系列的处理系统不仅能在开机时启动,而且还可根据需要配置可编程逻辑.
采用这种方法,软件编程模式与全功能的标准ARM处理SoC毫无二致.
"可扩展"意味着什么在软件工程领域,可扩展性(有时会同前向兼容性相混淆)是指实现方案考虑到未来发展需求的系统设计原理.
这是一种能够扩展系统的系统性举措,也是实现扩展所需的工作.
扩展可体现为增加新功能,也可体现为现有功能的修改.
其核心主题就是在尽可能减少现有系统功能变动的基础上实现变革.
在系统架构中,可扩展性意味着系统设计时包含了通过新功能扩展/改进自身的机制和元素(hook),而且无需对系统基础架构进行较大的修改.
良好的架构反映了实现上述目的的设计原理,也为今后可能的构建工作制定了发展蓝图.
请注意,这通常是指最终交付的产品中包含了尚不会(实际上可能永远不会)用到的功能和机制,但这种功能并不是可有可无的,而是可维护性的必要元素,有助于避免产品过早被淘汰.
ZYNQ=processorZynq-7000嵌入式处理平台系列的每款产品均采用带有NEON及双精度浮点引电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
com擎的双核ARMCortex-A9MPCore处理系统,该系统通过硬连线完成了包括L1,L2缓存、存储器控制器以及常用外设在内的全面集成.
该处理系统不仅能在开机时启动并运行各种独立于可编程逻辑的操作系统(OS),而且还可根据需要配置可编程逻辑.
利用这种方法,软件编程模式与全功能的标准ARM处理SoC毫无二致.
应用开发人员利用可编程逻辑强大的并行处理能力,不仅可以解决多种不同信号处理应用中的大量数据处理问题,而且还能通过实施更多外设来扩展处理系统的特性.
系统和可编程逻辑之间的高带宽AMBA-AXI互联能以极低的功耗支持千兆位级数据传输,从而解决了控制、数据、I/O和存储器之间的常见性能瓶颈问题.
四、ZYNQ系列的编程环境Zynq-7000系列提供了一个开放式设计环境,便于可编程逻辑中双核Cortex-A9MPCore和定制加速器的并行开发,从而加速了产品上市进程.
软件开发人员可以充分利用基于Eclipse的XilinxPlatformStudio软件开发套件(SDK)、ARM的DS-5和ARMRealViewDesignSuite(RVDS),或ARM互联社区和赛灵思联盟计划生态系统的领先厂商(诸如Lauterbach、WindRiver、PetaLogix、MathWorks、MentorGraphics、Micrium和MontaVista等)提供的编译器、调试器和应用.
此外,利用赛灵思屡获殊荣的ISE设计套件的优势,Zynq-7000系列的可编程结构经定制可以最大化系统级性能,满足特定应用的各种需求.
该套件提供了包括开发工具、AMB4AXI4即插即用IP核和总线功能模型(BFM)等在内的完整硬件开发环境,有助于加速设计和验证工作.
赛灵思通过收购高级综合技术领先公司AutoESL进一步提升了在工具方面的进程,提供C,C++以及系统C综合优化Zynq-7000器件架构.
未来的版本也将促进Zynq-7000产品系列中处理器和可编程逻辑之间关键算法的无缝衔接.
电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
com随着时间的推移,ARM互联社区和赛灵思联盟计划生态系统的第三方厂商将进一步扩展上述解决方案,这是赛灵思目标设计平台的一部分,可提供包括IP核、参考设计、开发套件及其他资源等在内的高效统一的开发环境,从而满足特定应用和设计领域要求.
五、Zynq系列的逻辑架构电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
comZynq-7000系列的可编程逻辑完全基于赛灵思最新7系列FPGA架构来设计,可确保28nm系列器件的IP核、工具和性能100%兼容.
最小型的Zynq-7000、Zynq-7010和Zynq-7020均基于专门针对低成本和低功耗优化的Artix-7系列;较大型的Zynq-7030和Zynq-7040器件基于包括4至12个10.
3Gbps收发器通道,可支持高速片外连接的中端Kintex-7系列.
所有四款产品均采用基于2个12位1MspsADC(模数转换器)模块的新型模拟混合信号模块.
六、选择赛灵思Zynq-7000AllProgrammableSoC平台的九大理由赛灵思Zynq-7000AllProgrammableSoC是一款为当今嵌入式系统注入智能性的理想平台.
该平台是AllProgrammable的,也就是说它不仅能通过软件为系统添加智能,而且还能通过可编程硬件实时执行更多的数据处理和决策功能,同时系统接口也能通过可编程I/O进行优化发展.
所有这些智能都可通过较低的设计成本和巨大的灵活性进行添加,从而支持设计修改乃至产品部署后的现场升级.
此外,该平台也能支持高级可编程系统集成,包括CPU、DSP、ASSP、FPGA和混合信号功能等,从而降低BOM成本、提高系统性能、降低系统功耗.
如果需要的话,基于Zynq平台的系统几乎可以当天立即完成设计并出货.
电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
com赛灵思Zynq-7000AllProgrammableSoC可提供最快捷、最智能的方法创建SmarterSystem.
它将基于两个1GHzARMCortex-A9MPCore处理器的快速处理器系统与业界速度最快、最高级28nmFPGA架构相结合,搭配多个高速串行收发器以及包含两个每秒100万次采样模数转换器的片上模拟处理块.
赛灵思近期推出了Zynq-7000AllProgrammableSoC系列旗下的第五款产品:ZynqZ-7100,改进了FPGA架构中的DSP资源.
所有五款Zynq器件都进行了精心优化,能充分满足特定的系统功耗、成本和尺寸组合需求.
赛灵思正引领着SmarterSystem的行业发展趋势,相继推出了面向SmarterNetwork、SmarterDataCenter和SmarterVision系统的以应用为中心的解决方案.
这些解决方案基于Zynq-7000AllProgrammableSoC而构建,包括针对SmarterSystem提供的越来越丰富的构建模块组合——SmartCORETMIP,还有支持更高抽象级设计的新一代设计工具——Vivado,以及各种应用设计套件和系统级专业技术,力助SmarterSystem的快速设计和实现.
电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
com赛灵思Zynq-7000AllProgrammableSoC相对于同类解决方案而言领先整整一代,是针对各类市场所有不同应用领域一系列系统设计问题的最智能的解决方案.
以下九大理由证明为什么领先一代名符其实:理由一:最有效的ARM+FPGA分析和控制架构ZynqAllProgrammableSoC的核心的是1GHz双核硬化实现的ARMCortex-A9MPCore微处理器.
两个ARM处理器通过基于ARMAMBAAXI的互联机制与片上存储器、SDRAM和闪存存储器控制器以及外设模块进行通信.
总之,这些硬化模块构成了Zynq-7000AllProgrammableSoCProcessorSystem(PS).
片上PS通过多个ARMAMBAAXI端口连接到Zynq器件的片上ProgrammableLogic(PL),在Zynq架构的两大关键组件之间形成了极为高效的耦合.
它们中间包括有2个32位AXI主接口、2个32位AXI从接口、4个64位可配置缓冲型高性能AXI从接口和1个64位AXIACP(加速器一致性端口)接口.
也就是说ZynqPS和PL之间共有9个AXI接口.
ARMAXIPS-Pl连接的数量和大小是一个关键的架构选择,需要认真考虑ZynqPS的带宽要求.
4个64位/32位可配置高性能AXI端口使得PL能通过4个独立的1kBFIFO缓冲器直接高速访问Zynq-7000AllProgrammableSoC的片上存储器和SDRM控制器.
这样,ZynqPL中实现的一些单独的硬件加速器就能独立高速访问基于Zynq系统的主存储器.
如果访问要与片上缓存相一致,那么PL中实现的加速器可采用64位ACP连接,其直接连接到ARMCortex-A9MPCore处理器的窥探控制单元.
除了64位/32位可配置AXI端口之外,还有4个32位AXI端口用于连接ZynqPS和PL.
这些端口在ZynqPS和ZynqPL实现的任何外设类IP块之间提供连接.
电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
com理由二:最丰富的OS、中间件和协议栈生态系统所有这些硬件都需要软件来协助应用,而赛灵思Zynq平台可提供丰富的OS、中间件和协议栈生态系统.
OS支持包括许多不同的Linux版本(赛灵思自己的PetaLinux、WindRiver的Linux5和Timesys的Linuxlink等)、iveia和Adeneo的Android、Microsoft的WindowsEmbeddedCompact7以及一些实时操作系统(包括赛灵思的FreeRTOS、WindRiver的vxWorks、GreenHillsSoftware的InTEGRITy、EnEA的OSE、Expresslogic的ThreadX/netX、ETAS的RTA-OSSC1-4、eSOC的eT-kernel、Micrium的c/OS、MentorEmbedded的nucleus以及Quadros的Quadros等).
这些操作系统涵盖了通信、汽车和工业乃至消费、医疗等各种应用领域.
此外,Zynq平台还拥有最庞大的软件生态系统,到目前为止,赛灵思和业界领先的工具厂商(包括ARM、Microsoft、MentorEmbedded、GreenHillsSoftware、WindRiver、Kyoto、Microcomputer、Yokogawa、Computex、Abatron和lauterbach)可提供软件开发工具,而30多家设计服务生态系统联盟成员则提供设计帮助.
电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
com赛灵思还为SmarterNetwork、SmarterVideo、高级FOC(磁场定向控制回路)电机控制、安全等应用提供专门的软硬件设计解决方案.
此外,Zynq平台受益于庞大的中间件生态系统,协议栈厂商则能ARMCortex-A9MPCore处理器提供专门的产品和服务,具体包括:音频编解码器加密视频编解码器安全系统操作图形文件系统成像网络图像处理和识别数据库面部识别电源管理理由三:最高级别的安全性和可靠性SmarterSystem必须充分满足安全性和可靠性的严格要求,而Zynq平台的许多特性都能帮助设计团队设计安全特性,确保安全操作.
就安全操作而言,Zynq平台的独特之处在于总是先启动处理器端然后再启动FPGA端.
如果需要,启动顺序还支持用户认证(RSA)、加密(AES-256)和数据认证(HMAC).
认证和解密代码被放置在片上存储器中,只有在确保不受窥探情况下才会执行.
这是安全启动Zynq-7000AllProgrammableSoC这种器件的唯一方法,而且这种技术已经在我们最严格的航空航天和军用客户实践中得到了检验,要知道这些客户都是安全领域的专家.
赛灵思在征求目标客户意见后将上述特性集成到Zynq-7000平台,因此Zynq-7000SoC能够支持硬件中的AES和SHA算法.
同类竞争解决方案在其片上FPGA配置管理器中内置了AES,但显然只能用于FPGA配置比特流的解密,而且同类竞争解决方案的硬件AES解密似乎也不适用于处理器启动代码.
此外,同类竞争解决方案器件安全启动的唯一方法就是先配置PL,然后再启动PS,但目前还不清楚其是否考虑到了避免特洛伊木马软件在安全启动PL之前植入器件的可能性.
Altera的解决方案显然还有很多尚未解答的问题.
此外,Zynq器件具有独特的防篡改(AT)技术,这些特性不仅对军用项目至关重要,同时也对商业客户保护IP非常有用.
电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
com这些特性的详细列表敬请参见赛灵思XAPP1084文档,该文档可在被称为SecurityMonitor(SecMon)的随时可集成型IP解决方案中提供.
片上模数转换器和温度传感器使得Zynq器件能监控环境,如果发现有任何篡改迹象就会将自身(包括AES密钥)"归零".
在此领域已经出现的四代产品中,同类竞争解决方案都不能实现这样整整领先一代水平的安全特性.
许多系统参数都与操作可靠性相关,但人们最常讨论的一大问题就是单粒子翻转(SEU).
存储器故障等显然都会产生SEU症状,但真正的问题是位于系统级.
赛灵思通过公认的实验室和测试标准对SEU进行了大量的28nm工艺测试.
赛灵思FPGA多年来一直用于火星探测器,近期则在CERN位于瑞士阿尔卑斯山的大型强子对撞机中帮助科学家捕获希格斯玻色子.
所以,赛灵思对于SEU非常熟悉.
赛灵思高可靠性、领先一代的28nm芯片技术,基于符合JESD89A/89-3A标准的LANSCE(洛斯阿拉莫斯中子科学中心)光速测试,可在所有商业SRAM型技术中实现最低的固有SEUFIT率.
赛灵思器件非常适合较长使用寿命的应用.
赛灵思SEU的优势可通过SEU缓解和分析解决方案进一步加强,其中包括完全支持的软故障缓解IP(SEMIP)、SEUFIT率计算器、公开可用的数据和检测方法以及专家设计指南等.
赛灵思专门致力于芯片可靠性和SEUFIT率的研究工作,因此是系统开发人员满足最高系统性能要求、在可编程器件中实现最高集成度的明智选择.
除SEU之外,系统可靠性还有其它方面的因素.
举例来说,Zynq系列的所有产品都包含配备模拟多路复用器的片上热传感器和片上模数转换器,这样Zynq器件就能监控自身环境,包括温度和电源电压等.
此外,系统设计人员还能给Zynq平台的模拟输入连接入侵光电探测器和其它模拟传感器,从而创建故障安全系统,能充分了解安全系统操作面临的任何环境挑战.
上述特性具有多重安全完整性等级(SIL),能充分满足IEC61508标准等新型监管规定的要求.
无与伦比的性能和功耗如果说不能以尽可能最低的功耗实现最佳性能,那么以上功能全都毫无说服力.
而Zynq-7000AllProgrammableSoC平台恰恰做到了这一点.
高性能组建和架构决策可提供强大的组合性能.
通过正确选择28nm工艺技术——赛灵思Zynq平台选择了TSMC的28nmHPL工艺——再加上正确做出设计决策,我们不仅实现了低功耗操作,也能充分发挥工艺技术的无限潜力.
理由四:唯一一款1GHz双核ARMCortex-A9MPCore处理器赛灵思采用TSMC28nmHPL工艺技术使得其产品系列能以非常低的工作功耗提供无与伦比的高性能,这一切都始于1GHz的双核ARMCortex-A9MPCore处理器.
速度级别最高的Zynq-7000AllProgrammableSoC中ARM微处理器的工作速度超过任何同类竞争产品.
处理器速度快当然是提高性能的第一大因素,不电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
com过也有其它一些影响性能的重要因素,特别是存储器.
理由五:最大容量、最高性能的存储器系统单靠最佳的处理器性能本身并不能确保系统的高性能.
存储器性能也发挥着重要作用.
Zynq平台采用了现有速度最快的SDRAM存储器控制器.
Zynq平台PS中硬化的SDRAM控制器的工作速度与DDR3-1333相当.
Zynq器件的片上FPGA架构中放置的存储器控制器配合Kintex-7PL能实现DDR3-1866的速度,而且您能根据需要在PL中安放多个SDRAM控制器.
Zynq-7000AllProgrammableSoC中硬化的SDRAM控制器支持32位和16位SDRAM宽度,提供奇偶校验功能,也支持带ECC的16位SDRAM.
如果您的设计需要带ECC的32位SDRAM或64位/128位SDRAM(带或不带ECC),那么软核版本的赛灵思SDRAM存储器控制器能提供您所需要的功能,而且性能更高.
理由六:最低功耗和最快的逻辑架构Zynq平台在业界领先的赛灵思28nmKintex-7和Artix-7FPGA基础上可为您提供两种PL选择.
速度更高的Kintex-7FPGA架构为实现最佳性价比进行了精心优化,性能与前一代高端赛灵思Virtex-6FPGA相当,而功耗仅为后者的一半(也就是功耗性能比翻番).
而Artix-7FPGA架构为实现最低功耗和最低成本进行了精心优化,性能相当于前一代Spartan-6FPGA的两倍,但工作功耗减半.
根据具体设计,Artix-7FPGA相对于同类竞争性低端28nmFPGA而言性能可平均提高15%,而Kintex-7相对于同类竞争性中端28nmFPGA而言性能则可平均提高50%.
7系列FPGA共享可扩展的优化架构,包括Zynq-7000AllProgrammableSoC系列器件中的Kintex-7和Artix-7架构.
这样就能支持更方便移植的RTL和IP.
由于所有赛灵思7系列FPGA架构共享最低层次的架构构建块,因此设计团队可移植手工编码、带存储器块实例化、DSP块或逻辑元件的RTL到Zynq-7000系列的任何成员,并且无需修改或花大量时间来重新优化.
业经验证的生产力赛灵思Zynq平台打开了提高设计生产力的大门,能让设计团队创建任何可编程抽象级的全新设计方案,包括软件或硬件.
Zynq平台的两个1GHzARMCortex-A9处理器内核能以超过同类竞争解决方案的速度更快执行ARM目标软件,这样开发团队就能减少优化代码所需的时间电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
com和精力.
为提高速度,软件开发团队可将关键算法转为硬件加速器,这样就能在ZynqPL中进行实例化并插入ZynqSoC的AXI互联.
VivadoHLS通过将C、C++和SystemC代码转为硬件能让这项任务变得高效快捷.
此外,逻辑设计人员可创建更多的硬件模块,进一步提高系统设计快速执行任务的功能.
Zynq平台理想适合于帮助设计团队将理念转变为实现方案,并尽可能地节约时间.
这正是生产力的源泉所在.
理由七:业界领先的高层次综合您想知道算法尽快转变为高速逻辑的秘密吗这就是高层次综合(HLS).
算法开发人员能用C、C++和SystemC语言编写算法,然后在PC和服务器上调试算法.
当算法得到验证后,实现算法最快速的方法就是在Zynq平台的其中一个ARMCortex-A9MPCore处理器上简单地重新编译代码.
如果这种实现速度不够快怎么办那么您就需要一款硬件实现方案了.
在HLS出现前,硬件实现方案需要逻辑设计人员在VHDL或Verilog中重新编码采用C、C++和SystemC语言编写的高级算法.
这个过程很慢,需要手工操作,容易发生错误,而且需要进行大量的调试.
有了HLS,这个过程就快多了.
让C、C++和SystemC代码进入HLS工具,我们就能得到实现硬件加速器所需的HDL代码了,而且配套提供AXI接口,能直接插入ZynqSoC的FPGA架构.
赛灵思HLS工具是Vivado设计套件的一部分,这是支持硬件加速器快速开发的核心特性,它能加速执行Zynq平台上的关键任务.
赛灵思Vivado设计套件包含的HLS工具对三种C语言输入标准(C、C++和SystemC)的庞大子集提供可综合支持,因此能从C代码综合硬件,而且尽可能地减少修改.
VivadoHLS工具能对设计进行两种不同类型的综合:算法综合:针对函数功能,将函数表达综合为一系列时钟周期上的RTL表达.
接口综合:将函数变量(或参数)转换到RTL端口,提供特定的时序协议,让设计能与系统中的其它设计进行通信.
VivadoHLS工具能执行一系列的设计优化,生成高质量的RTL,从而满足性能和面积目标.
虽然C语言的顺序性(缺少并发性)特点人为造成运算必须等待执行,但VivadoHLS工具能自动将函数和循环实现流水线,确保RTL设计不受上述限制的影响.
VivadoHLS就是系统设计人员快速开发硬件加速器的秘密武器.
理由八:最丰富的软件环境和工具选择电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
com软件开发团队有自己喜欢使用的工具,不同团队有不同的偏好,有时不同项目也需要使用不同的工具,这不是什么秘密.
因此,像基于赛灵思Zynq-7000AllProgrammableSoC系列产品的通用开发平台必须能为设计团队提供丰富的开发工具选择.
赛灵思可提供免费的软件开发工具套件,支持基于Linux和bare-metal的软件开发,而且提供多核软件调试功能.
Zynq平台业界领先的第三方开发环境和工具包括ARMDevelopmentStudio5(DS-5)、MentorSourceryCodeBench工具链、WindRiverWorkBench、GreenHills的MUlTIIDE、MicrosoftVisualStudio、LauterbachTRACE32PowerTools、ComputexPAlMiCE3和PAlMiCE2H调试器以及KyotoMicrocomputer的PARTNER调试器等.
赛灵思的一些合作伙伴可提供非常高级的高层次软件开发工具.
举例来说,MathWorks支持Zynq-7000平台的模型化设计,其MATLAB和Simulink支持整个设计过程的持续测试和验证.
MATLAB是面向开发技术计算应用的业界领先环境.
Simulink则是面向系统级建模、仿真和验证的业界领先环境.
MathWorks的两款开发工具适用于开发各种应用,其中包括:电机控制数据分析计算机和机器视觉安全和监视无线通信机器人MATLAB和Simulink相对于手工编码方法而言能将设计周期时间缩短多达80%.
此外,NationalInstruments也通过LabVIEWFPGA图形开发环境展示其对Zynq平台的支持.
LabVIEW是系统设计平台和开发环境,采用了可视化数据流编程语言,最初由NationalInstruments于1986年开发.
理由九:最丰富的IP、设计套件和参考设计组合赛灵思可提供大量IP模块、设计套件和参考设计,能够帮助设计团队率先推出新系统.
举例来说,过去几年来,赛灵思收购了Sarance、Omiino、Modesat和Modelware等战略IP厂商,还在内部开发了更多IP块,推出了丰富的SmartCOREIP组合,从而帮助Smarter网络的系统开发.
想要立即启动开发工作的设计团队需要即时平台,而赛灵思提供的软硬件开发平电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
com台包括:ZedBoard低成本评估板(安富利合作伙伴电子创新网商城有售zedboard,购买地址:http://mall.
eetrend.
com售价2988RMB.
)用于开发非视频应用的ZC702评估套件Zynq视频和成像套件用于开发无线应用的ZynqSDR套件用于需要高速SerDes收发器的系统的ZC706评估套件Zynq-7000SoC虚拟平台是一款基于软件的仿真平台,能帮助您开发仿真友好型系统模型,即时发送电子邮件给世界各地的开发人员.
此外,越来越多的第三方厂商,包括iVeia、Enclustra和v3Technology也基于赛灵思Zynq平台推出了评估开发板.
其它原因第十大原因:屡获殊荣的技术Zynq-7000系列和Zynq平台已经赢得了许多行业大奖,而且在2012年底赢得两项尤为重要的奖项.
电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
com第一个是LinleyGroup《微处理器报告》颁发的年度分析师选择奖中的"2012年度最佳嵌入式处理器"奖.
《微处理器报告》称:"我们认识到,不同的观点和意见综合在一起,就会带来创造力,所以我们评选赛灵思的ZynqZ-7020(见《微处理器报告》2011年3月7日文章:赛灵思让FPGA再添利器)为2012年度最佳嵌入式处理器.
从一个角度看,Zynq是FPGA,但从另一个角度看,它又是嵌入式处理器.
它不完全是其中的任何一者,但Z-7020和类似产品将改变嵌入式处理器行业,不仅在传统处理器领域抢占市场,同时开启新的设计机遇.
"第二个大奖来自《电子产品杂志》,该杂志编辑JimHarrison说:"我们选择Zynq-7000AllProgrammableSoC作为今年年度最佳产品,是为了表彰赛灵思作为敢为人先FPGA公司的地位.
赛灵思率先推出了业界首款AllProgrammableSoC,并在单个芯片上集成了ARM双核Cortex-A9MPCore处理系统以及可编程逻辑和I/O.
我们表彰赛灵思独特的技术组合,它大幅提高了性能,从而在各种市场中改进了处理密集型应用,其中包括消费和广播设备乃至有线通信领域等.
"结论需要多功能、高速信号处理和实时响应性的最终市场应用推进了SmarterSystem需求的发展,要求更高级别的嵌入式系统性能.
Smarter视频和视觉(驾驶员辅助、监视、自动化)、Smarter网络、Smarter数据中心、Smarter航空航天和军用(军事和航空电子系统)以及Smarter广播(摄像头、内容、传输)等应用有着一些相同的需求,其中包括:高级决策和控制处理复杂的用户或控制系统接口基于多个复杂数据输入流的控制和分析高性能低时延信号处理所有这些应用都必须满足更严格的开发进度要求,而且要不断满足SmarterSystem(从低成本解决方案到特性丰富的解决方案)持续发展的要求.
赛灵思Zynq-7000AllProgrammableSoC平台堪称开发SmarterSystem最智能的解决方案,有九大原因:满足分析和控制需求的最高效ARM+FPGA最丰富的OS、中间件和协议栈生态系统最高级安全性和可靠性电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
com唯一一款1GHz双核ARMCortex-A9MPCore处理器最大容量、最高性能的存储器系统最低功耗和最快的逻辑架构业界领先的高层次综合最丰富的软件环境和工具选择最丰富的IP、设计套件和参考设计组合上述因素再加上软硬件的高灵活性和I/O可编程性,可帮助客户缩短开发时间,降低投资,从而改进财务业绩,加快产品上市进程和盈利的进度.
此外,系统定义风险得以大幅降低甚至可能彻底消除,同时我们还能进行产品的更新升级,从而更方便地服务于系统和最终客户.
无与伦比的高性能、优化的分区、低功耗、低成本、低风险、更出色的财务业绩、系统灵活性、可扩展性、可升级性、得到世界级工具和生态系统的支持、基于业界开放标准的IP以及熟悉的编程环境,等等,这些都是极具说服力的优势.
欢迎垂询您当地的赛灵思办事处了解有关赛灵思Zynq-7000AllProgrammableSoC平台的更多详情,它将大幅提高您的开发效率,并为您全新的SmarterSystem设计项目开启巨大的差异化商机.
七、赛灵思Zynq器件软硬件开发300问Q1:可否介绍下开发软件的构成答:处理器系统的硬件配置使用XPS,软件开发可以使用Xilinx的SDK或其他第三方的开发环境如ARM的DS-5等.
Q2:A9和PL之间的速度达到多少PL的RAM是否都可以被A9访问答:A9和PL之间的速度达到多少之间的时钟平率取决于选择的Zynq器件以及速度等级,合理的时钟频率在150MHz~250MHz.
A9处理器可以通过GP口访问PL部分的BRAM.
Q3:在设计中用FPGA作为系统的主要器件,系统设计中首先要考虑到的问题就是处理器的启动加载问题,XilinxZynq在启动加载方面怎么做的答:正常工作状态下,Zynq的启动是处理器系统先启动,然后由处理器来配置FPGA(PL),xilinx提供了一个Bootloader的模板,可以加载FPGA的配置文件.
Q4:Zynq-7000包含硬件乘法器吗答:ZynqPS中没有FPGA中的硬件乘法器,但PS中的A9是定点处理器,可以做定点的各种运算,除此之外,Zynq的处理器系统中还有有NEON和FPU,可电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
com以支持浮点运算.
PL部分和7系列的FPGA中的资源类似,有DSPSlice,可以完成包括乘法在内的很多种算术运算.
Q5:我刚听到bit流是先下载到ARM里面,再下逻辑,我是在想生成的bit流难道有2个答:Bitstream通过BootGen工具与fsbl等其他elf文件打包成BOOT.
BIN,在fsbl运行过程中会将bitstream加载到PL中.
当然在整个系统启动之后,可以进行PL的部分重配.
Q6:是ARM-A9加载FPGA吗运行中,FPGA是否可以重新加载答:可以,请参考http://www.
xilinx.
com/support/documentation/application_notes/xapp1159-partial-reconfig-hw-accelerator-zynq-7000.
pdfQ7:ARM+FPGA是不是功耗很大答:功耗取决于所使用的资源的数量、工作频率、ToggleRate等,Zynq-7000采用HPL(高性能、低功耗)的制造工艺,功耗要优于竞争对手的同类产品.
Q8:采用什么方式下载BOOTLOADER答:FirstStageBootloader一般保存在BootMemory(可以试SD卡、QSPIFlash、NAND、NORFlash),在Zynq上电之后,Zynq内部固化的BootROM执行之后,会从BootMemory中读取FirststageBootloaderQ9:请问开发用的软件是免费提供的吗答:有免费的版本Q10:您好,7020由于管脚复用问题,无法同时支持qspi、nand、norflash,请问将来可否有更新型号的基于A9架构cpu能支持同时使用qspi、nandflash和norflash,该型号什么时候能上市答:目前无法同时支持多种bootMemory,主要是受限于MIO管脚数目,如果需要同时使用,用户可以再FPGA(PL)中用个逻辑实现.
尚不清楚未来的产品是否会同时使用这些BootMemory.
Q11:两个核之间是什么关系主从并行各有任务答:原则上,两个A9核是相互独立的,是否有主从关系,取决于所使用的OS、用户的使用方式等.
Q12:FSBL需要自己写,添加完成答:Fsbl是SDK根据XPS中的配置自动生成的,不需要用户自己编写.
客户可以在自动生成的代码基础上进行修改.
Q13:开发环境支持哪几种操作系统答:Xilinx的开发工具有linux和windows的版本电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
comQ14:XilinxZynq器件是针对哪些领域的,消费电子还是工业控制或者军工类答:Zynq目前的在很多应用中都有成功案例,如工业控制(马达、伺服、PLC、工业Ethernet)、视频处理、医疗影像处理、有线无线通信、汽车电子等.
Q15:Zynq可以支持哪些操作系统譬如ucos,uclinux,linux,RTOS,WinCE.
答:你提到的这些OS基本上都能支持,更多的信息可以访问xilinx的wiki网站.
Q16:请问:Zynq能达到的最低功耗是多少答:在Standby状态下,(CPU0工作在比较低的频率,CPU1不工作,关掉FPGA),最低为90mw.
Q17:SD卡启动有什么详细说明文档或者是否已经实现了答:SD卡启动已经实现,关于启动流程可以参考UG821文档,里面有详细的描述.
Q18:AD最多可以同时实现几路采样速度是多少答:外部最多可接17路模拟量,采样速度1MHz.
Q19:高速通讯的最大速率是多少请问:高速通讯的最大速率是多少高速通讯的最大速率是多少答:A9和PL之间的速度达到多少之间的时钟平率取决于选择的Zynq器件以及速度等级,合理的时钟频率在150MHz~250MHz.
有的Zynq器件还有4~16个串行收发器,每个收发器的速率可以达到6.
6Gbps~12.
3Gbps.
Q20:目前的ISE14版本以上才能适用这个ZYNQ答:ISE14.
1~ISE14.
5都可以,我们建议用最新的版本.
Q21:Zynq通过AXI_HP读写DDR时,如何实现地址操作答:HP端口是通过一个类似FIFO的接口访问DDRController,可参考http://www.
xilinx.
com/support/documentation/user_guides/ug585-Zynq-7000-TRM.
pdf第十章Q22:Zynq与TI的达芬奇系列相比有哪些优势答:TI的达芬奇主要应用于视频处理,而Zynq可使用与更广泛的应用,Zynq的FPGA资源可以做硬件加速,极大提高性能和灵活性.
Q23:是不是这样Zynq逻辑资源只作为外设配置使用,主要是操作系统内核工作.
这样理解正确吗答:Zynq的逻辑资源可以看做是处理器系统的性能、外设的扩展,处理器系统可以用来做OS、人机界面、通信等工作.
Q24:Flash以及SD卡最大能加多大容量的外部SD卡答:NORFlash:64MBytesNANDFlash:>1GBytesSD:32GBytes电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
comQ25:能详细介绍下FSBL如何烧写以及作用吗答:Xilinx提供了一个FSBL模板,生成.
elf文件之后,可以使用SDK中的FlashProgrammer小工具来烧写QSPI、NORFlash,或者使用SD读卡器写入SD卡、或使用专门的编程器、u-boot烧到NANDFlash.
Q26:CPU的频率和DDR频率不相同时,对PL的部分会有什么影响吗答:没有特别的影响,因为AXIInterconnect有FIFO,允许Master和Slave有不同的时钟频率.
Q27:请问A9双核处理器中,其中单个处理器可以单独跑实时系统吗答:可以,详情请见xapp1078这个参考设计地址在http://www.
xilinx.
com/support/documentation/application_notes/xapp1078-amp-linux-bare-metal.
pdfQ28:请问怎样在PS和PL之间传输图像都需要完成什么答:可以通过一个或多个HP口传输图像、视频数据,Xilinx有一个SobelFilter的Demo,可供参考.
Q29:能支持多大的SD卡答:32GBQ30:请问启动代码可以进行加密吗答:可以加密,详情请见UG821文档Q31:Zynq芯片其CPU内核可以跑到多少MHZ如果ARM代码量大的话,会否占用部分逻辑资源呢会影响逻辑的时序吗答:A9的主频取决所选择的器件和速度等级:7010/7020:667MHz/-1Speed;733MHz/-2Speed;800MHz/-3Speed7030/7045/7100:667MHz/-1Speed;733Mhz/-2Speed;1GHz/-3SpeedQ32:软件开发方面支持哪几种操作系统,移植会不会不方便答:几乎所有主流的OS都支持,如Vxworks,OSE,uc/OS,WinCE,Android,QNX,ThreadX.
等Q33:请问现在能够大量供货吗答:可以,7010/7020/7030/7045已经量产.
Q34:用什么软件进行开发,能提供一下下载链接吗答:处理器系统的硬件配置使用XPS,软件开发可以使用Xilinx的SDK或其他第三方的开发环境如ARM的DS-5等Q35:bootrom用户无法修改吗电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
com答:无法修改Q36:这个ARM带LCDcontroller吗答:不带,可以在PL部分利用相关IP可实现同样功能Q37:能支持的NANDFlash最大容量是多少答:目前测试过SLCNAND1GBytes,更大容量的NAND还没有测试过.
Q38:LAN还需不需要外加PHY答:需要加外部的PHYQ39:我想知道NEON协处理器使用的DEMO,怎样充分使用这个协处理器,有没有相关文档说明,谢谢!
答:Xilinx有一个SobelFilter的Demo,可供参考Q40:希望看到更多的案例.
谢谢答:请联系我们本地的技术支持工程师Q41:有评估板么答:有,安富利合作伙伴电子创新网商城有售zedboard,购买地址:http://mall.
eetrend.
com售价2988RMB.
Q42:ZYNQ相对其他厂家的ARM硬核有哪些特色比如说美高森美的带M3内核答:ARM硬核基本上都是基于ARM提供的IP设计的,相对美高森美来说,ZYNQ内带的是CortexA9的双核.
Q43:这个内部的BootRom放得什么答:初始化外部存储设备接口的代码,以及读取fsbl到OCM中,详见UG821文档.
Q44:如果NANDFlash启动的话,外接NANDFlash需要多少个引脚啊,从需要用MIO吗答:8个控制信号+8位或16位数据线,如果NANDFlash是BootMemory,必须使用MIO.
Q45:现在xilinx的软核处理器还保留着吗和这个硬件的ARM处理器冲突吗答:是的,用户还可以用逻辑部分实现一个或多Microblaze处理器系统,Microblaze可以和ARM协同工作,也可以各自独立工作.
Q46:对Linux的支持也多是商业发行版啊答:Xilinx有免费的OpenSourceLinux,请访问wiki.
xilinx.
comQ47:通过ARM核加载那不是启动时间很长了电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
com答:启动时间跟选择的外部存储设备有关系,比如QSPI比SD卡就要快很多了.
Q48:请问可不可以通过ARM的linux系统对FPGA进行在线重配置答:可以,请参考http://www.
xilinx.
com/support/documentation/application_notes/xapp1159-partial-reconfig-hw-accelerator-zynq-7000.
pdfQ49:是否可以用作soho的无线网关包处理能力答:可以Q50:以太网外接的PHY有要求吗答:可以支持MII、GMII、RGMII、SGMII的PHY.
Q51:中文的资料好像还不多答:《嵌入式系统软硬件协同设计实战指南:基于XilinxZynq》Q52:价格是多少有没有demo可参考答:安富利合作伙伴电子创新网商城有售zedboard,购买地址:http://mall.
eetrend.
com售价2988RMB.
可到Xilin官方网站或www.
zedboard.
org上下载一些参考设计.
Q52:请问FPGA部分可以连接PCI总线吗答:可以,但PCICore不是免费的Q53:请问7000系列接口丰富,支持哪几种通讯方式,GPIO适宜什么场合谢谢答:各种IO外设,如UART、Ethernet、USB、CAN、GPIO甚至是串行收发器,都是通信接口.
Q54:应用实例的代码开放吗答:有SourceProject,包括SourceCode.
Q55:对Zynq-7000加载代码需要什么硬件接口答:Zynq支持从QSPI、NANDFlash、NORFlash、SD卡启动,不需要特殊的接口.
Q56:如果想扩展多路以太网,容易实现吗答:可以用逻辑资源扩展多路EthernetMAC,有现成的IPCore,但有可能需要License.
Q57:提供免费的开发环境吗答:XilinxSDK是免费的Q58:开发难度如何电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
com答:如果客户有处理器(最好是ARM)方面的开发经验,那么Zynq的开发相对比较简单.
Q59:看到芯片带看门狗功能,请问这个看门狗有没有电压过低检测功能答:Watchdog没有这个功能,但Zynq器件内部的XADC可以实现该功能.
Q60:用两片QSPI时,8-bitParallelI/O和4-bitStackedI/O这两种连接方式有和区别呢答:手册UG585最新版本的12.
5I/OInterface对各种模式有非常详细的介绍.
Q61:为什么说ZYNQ平台是在在一些非通讯类的新兴市场会有巨大的发展潜力通讯类的产品不能用ZYNQ吗ZYNQ应该是款非常灵活的通用的嵌入式平台.
答:Zynq目前的在很多应用中都有成功案例,如工业控制(马达、伺服、PLC、工业Ethernet)、视频处理、医疗影像处理、有线无线通信、汽车电子等.
Q62:能试用吗答:请联系我们本地的技术支持工程师联系热线400-000-3868Q63:XilinxZynq器件硬件目前主推可编程逻辑类产品型号是什么可以同时处理几路开关信号输入、模拟量信号输入及几路开关信号输出答:Zynq的7010、7020成本低、有比较高的性价比.
Zynq中的ADC最多可以接17路模拟量输入.
Q64:嵌在芯片内的ARM9是硬核还是软核答:硬核Q65:你好,两片qspi共用数据线,片选需要开发人员手动切换cs么答:手册UG585最新版本的12.
5I/OInterface对各种模式有非常详细的介绍.
控制器应该是可以根据地址自动来切换CS信号的.
Q66:评估板的售价是多少答:Zedboard395$,安富利合作伙伴电子创新网商城有售Zedboard,购买地址:http://mall.
eetrend.
com售价2988RMB.
Q67:有没有现用的库方便软件开发答:安装SDK中包含了各个接口的BSP代码,还有一些第三方的库,比如LWIP,freertos等Q68:现在主要用的3.
3.
6版本的kernel和以前的2.
6.
30等版本的主要改进了什么差别在那些地方如果将kernel从2.
6.
30版本更新至3.
3.
6版本的话,对于提高开机时间,运行速度是否有帮助答:内核版本的升级通常会在驱动架构上,任务调度管理等方面会有优化,但对于开机时间来说可能不会有太大的帮助.
电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
comQ69:支持的汇编程序是宏汇编吗答:ARM汇编Q70:在使用ZedBoard时,2.
6内核,对于定制IP后,驱动编写没有方向.
我们参考了UG925的视频处理设计TRD,其中似乎将驱动与Linux程序一起在SDK中编译.
如果将IP的物理地址映射成内存的虚拟地址,是不是就可以不改变设备树文件.
我们的IP有INTERCONNECT,VDMA,滤波器,控制是GP接口,AXI_Lite,数据是HP接口,AXI_Stream,希望得到指导.
谢谢答:首先要弄清楚设备树文件时起到什么作用的,设备树是为了降低内核目录的复杂度,将原本由C代码描述的单板上接口的信息通过设备树来描述.
这和IP的物理地址映射成虚拟地址没有直接的关系,就算以前没有设备树的年代,也是需要进行虚实转换之后通过虚拟地址才能正常访问的.
这些IP并不是各个都要软件驱动去控制,像各种AXI总线这不需要有软件来控制,应该是FPGA逻辑自己来维护它的正常工作的.
Q71:1.
Zynq内嵌的ARM好像不带TFT的LCD控制器,如果我们系统需要要求较高的显示,Xilinx有没有具体的解决方案2.
Zynq的硬件设计是不是就是和ARM+FPGA的系统类似它有什么特别的地方有什么特别要注意的地方供电部分都有什么特殊要求3.
Zynq在Linux下的驱动都有哪些Xilinx现在对linux的支持怎么样答:可以提供HDMI显示输出的demo,也可以提供LCD显示demo的IP和linux驱动代码.
Q72问:在我们系统中使用了XCZ7010处理器,已经使用了QSPI,请问PS部分NANDFLASH还可以用么答:不可以Q73:qspi的共用数据线的cs问题答:手册UG585最新版本的12.
5I/OInterface对各种模式有非常详细的介绍.
控制器应该是可以根据地址自动来切换CS信号的.
Q74:XilinxZynq器件硬件和嵌入式软件的开发有哪些优势答:主要是可以软硬件协同工作,充分发挥ARM处理器和FPGA逻辑各自的优势,去完成系统控制和信号处理的工作.
Q75问:除了Linaro之外,还支持什么linux版本答:Linaro只是一个文件系统,andriod也算一个linux版本吧.
Q76:有無相關實際演示的教程或是文檔答:可到Xilinx官方网站或www.
zedboard.
org上下载.
Q77:请问PS中有4个DMA到PL,这和AXIDMA有什么异同答:PS中的DMA是硬核DMAController,而AXI_DMA一般是指用逻辑实现的软核DMA控制器.
电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
comQ78:目前在国内小批量购买zynq器件和zynq核心模块的渠道还不是十分通畅.
我在网上看到很多国外企业设计的zynq核心模块,但是大多没有国内代理,希望能够想办法解决.
答:可以联系Avnet在各地的支持工程师Q79:在Vivado工具中能够调用EDK的系统吗,类似在ISE中调用EDK的系统这样方便把之前的EDK系统移植到Vivado工程中.
答:Vivado目前还不支持Zynq的开发,用户需要使用PlanAhead或ISE(启动XPS和SDK)进行Zynq开发.
Q80:请问在电机控制方面有哪些支持答:Avnet有一些关于马达控制的参考设计,可以用FPGA逻辑实现矢量控制的算法.
如有兴趣可以联系我们本地的工程师.
Q81:请问怎样在PS和PL之间传输图像都需要完成什么答:可以通过一个或多个HP口传输图像、视频数据,Xilinx有一个SobelFilter的Demo,可供参考.
Q82:当下,学习嵌入式技术是否合适答:学习使人进步,学习科学知识什么时候都合适.
Q83:在Zynq平台上,可以通过Linux+QT实现通用的上层APP设计.
这种设计能否移植到其他FPGA平台上,如在Spartan6+MicroBlaze平台上实现Linux+QT,或者有没有类似的通用上层APP开发环境.
答:在OpenHW开源硬件与嵌入式大赛上已经有人这么做了,他们的设计摘要如下:高速化、高精度、低成本、小型化数控控制系统已经成为数控机床加工行业的发展趋势.
本文提出QT+Linux+Microblaze的设计方法,由QT设计人机交互数控加工工作界面,借助Linux过渡最终移植到Microblaze,产生加工所需的图形以及获得图形数据;在FPGA上配置可并行工作的插补IP核以及脉冲发生IP核,最后控制三轴电机联动走出需要的加工轨迹.
实验证明,该系统具有高速、小型化、高精度等优点.
Q84:请问怎样在PS和PL之间传输图像都需要完成什么答:可以通过一个或多个HP口传输图像、视频数据,Xilinx有一个SobelFilter的Demo,可供参考.
Q85:通过嵌入式Linux,读取PL写入的DDR中数据时,存在噪声点.
答:需要处理好cache一致性的问题.
Q86:zedboard开发板的对外视频输出口有一些什么接口在ARM内核中该如何调用答:HDMI和VGA,有相关的参考设计可供参考.
http://wiki.
analog.
com/resources/tools-software/linux-drivers/platforms/zynq电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
comQ87:FPGA部分的开发用什么环境用什么编程语言答:处理器系统的硬件配置使用XPS,软件开发可以使用Xilinx的SDK或其他第三方的开发环境如ARM的DS-5等,处理器系统的开发语言可以是汇编、C/C++Q88:请问通过XPS来对处理器进行一些资源的配置是怎么样的一个过程呢XPS对处理器资源配置的操作过程大致是怎么样呢谢谢!
答:安富利有相关的培训,请联系安富利本地的工程师.
Q89:CPU和DDR速率不一样,对PL会有影响么答:没有特别的影响,因为AXIInterconnect有FIFO,允许Master和Slave有不同的时钟频率.
Q90:1.
请问这个SOC除了具备ARM的特性,是否兼备FPGA的特性2.
如果可以做FPGA,有多少LUT能跑多少MHZ答:Zynq是以包含了ARMdualCore处理器系统和大量FPGA资源的SoC,逻辑部分的资源请参考产品手册.
Q91:请问IP核的驱动程序可以在SDK中直接编译么答:如果是裸机的驱动程序可以直接在SDK中编译,如果是linux环境下的驱动程序,需要再linux环境中通过交叉编译工具编译.
Q92:XilinxZynq器件支持外部的rom/flash和RAM吗答:支持Q93:请问FPGA芯片测试时需要的主要注意事项有哪些答:请联系安富利本地的技术支持工程师Q94:新的FPGA芯片其开发工具是否有变化答:建议使用ISE14.
x以上的版本或VivadoQ95:编译环境收费吗答:不收费Q96:开发环境,BSP支持是不是很完善答:在ISE安装目录中已经包含各个外部控制器裸跑的BSP驱动代码,各种操作系统的驱动代码支持也非常完善,客户只需要关注自己系统设计的需求.
Q97:请问IP核的驱动程序可以在SDK中直接编译么答:如果是裸机的驱动程序可以直接在SDK中编译,如果是linux环境下的驱动程序,需要再linux环境中通过交叉编译工具编译.
Q98:有低功耗设计吗答:有,可以联系我们本地的技术支持工程电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
comQ99:XilinxZynq待机电流怎如何答:在Standby状态下,(CPU0工作在比较低的频率,CPU1不工作,关掉FPGA),最低为90mw.
Q99:有哪些措施可以使接触这款板子的工程师更快将板子应用于产品研发中答:安富利有相关的培训,网上有一些培训资料和参考设计,另外安富利本地的工程师也可以提供培训和支持.
Q100:ZYNQ器件是否支持部分可重构,具体怎样做到,有哪些参考文档答:http://www.
xilinx.
com/support/documentation/application_notes/xapp1159-partial-reconfig-hw-accelerator-zynq-7000.
pdfQ101:请问XilinxZynq的功耗以及性能如何2.
对于信号处理能力、滤波、数字转换等处理速度如何3.
由于本人现在的项目中主要采用DSP,在未来的试验项目中将采用多核处理,FPGA与ARM的相结合是个不错的选择,贵公司的推出的开发板是否容易上手技术支持怎么样答:在Standby状态下,(CPU0工作在比较低的频率,CPU1不工作,关掉FPGA),最低为90mw.
每个A9最高主频可达1GHz,处理能力2500DMIPS,具体的技术问题可以和我们本地的技术支持工程师联系.
Q102:基于Linux开发和裸机开发程序模块能否通用如果不能,有没有相应文档来指导将裸机程序移植到Linux答:不能直接使用,在裸机下基本上是通过物理地址直接访问外设,在linux下启动MMU后用户态是通过虚拟地址访问的.
而且在linux是有用户态和内核态,用户态通常不能直接访问外设.
Q103:如何高效使用cache来加速程序运算性能答:在系统方案设计上要善于利用ARM的preload的功能在处理Cache中已有数据的同时进行加载新的数据,保持数据处理流水不被打断.
在多核平台上更要关注Cache一致性的问题,在ZYNQ平台上还需要注意由于PL通过HP端口向DDR写数据带来的数据一致性问题.
Q104:视频的清晰度如何如何设计使视频更清晰.
答:这个取决于你的产品需求,目前FPGA已经广泛用于高清视频的信号处理中.
Xilinx有很多IP可以实现提高视频清晰度的处理.
Q105:Zynq逻辑资源只作为外设配置使用,主要是操作系统内核工作.
这样理解正确吗答:Zynq的逻辑资源可以看做是处理器系统的的性能、外设的扩展,处理器系统可以用来OS、人机界面、通信等工作.
Q106:关于EMIO部分,管脚设置是在XPS设置还是在UCF文件指定电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
com答:一般是在XPS中的PCW中,当然也可以手动编写.
ucfQ107:一定要先加载处理器能否只是用PL,不适用PS答:一定是要ARM先运行起来,有ARM执行的代码来加载逻辑部分.
系统起来后,可以通过将ARM处于WFI或WFE状态来达到节省功耗的目的.
Q108:DSP含有哪些资源含多少个多少位的乘法器答:是指逻辑资源中的DSP48Slice,具体请参看产品手册.
Q109:请问,Zync7000相对于通用的A9处理器+独立FPGA芯片,有什么优势成本开发难度可靠性答:Zynq-7000是一个真正的高性能SoC,在单个芯片中客户可以方便地进行软、硬件任务的划分,可减少板子的面积、简化电源设计、降低成本等,适合作为不同产品的研发平台.
Q110:ZYNQ器件是否支持部分可重构,具体怎样做到,有哪些参考文档答:http://www.
xilinx.
com/support/documentation/application_notes/xapp1159-partial-reconfig-hw-accelerator-zynq-7000.
pdfQ111:请问可以申请样片吗答:请联系本地工程师Q112:这个平台其它总体上十分强大,但我觉得少了一个OpenGlES部分答:Xilinx提供免费下载的Android2.
3解决方案.
该方案适用于XilinxZynq-7000开发板,其源文件同样存储在XilinxGIT资源库中.
Android解决方案使用基于显示控制器和OpenGLES1.
1的图形加速器,同时它也是Zynq-7000可编程逻辑的一部分.
Q113:一定要先加载处理器能否只使用PL,不使用PS答:不能Q114:Zynq7000的视频处理能力如何,视频信息通过什么接口输出答:目前可以通过HDMI以及VGA等接口输出.
Q115:XilinxZynq器件在无线通信领域未来前景如何您看好朝哪个方向发展.
答:Zynq目前的在很多应用中都有成功案例,如工业控制(马达、伺服、PLC、工业Ethernet)、视频处理、医疗影像处理、有线无线通信、汽车电子等.
Q116:有没有FPGA和A9的具体的通信例程答:PL和PS之间的有4个HP口、4个GP口、一个ACP口,但这些接口都使用AXI标准,我们有一些参考例子.
电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
comQ117:如何让这个平台支持OpenGlES答:请联系安富利本地的工程师Q118:Cortex-A9有省电功能吗答:Cortex-A9本身没有什么省电的功能,省电是通过动态调整CPU的工作频率,外部控制器工作状态等方式来达到的.
Q119:您好,我现在做的项目为电磁流量计,里面的数字信号处理部分采用的是DSP,但是在未来设计浆液流质测量时,由于其控制与算法较为复杂,感觉到DSP性能较为不足,采用该款芯片可以替代DSP吗性能可以在哪些方面得到提升答:可以,逻辑在做一些算法是,性能远高于DSPProcessor,我们的FPGA资源有许多数字信号处理方面的成功案例,请联系我们本地的工程师.
Q120:嵌入式开发工具哪里下载免费答:可在Xilinx官方网站上下载,有免费版本Q121:请问从其他的芯片转移到贵公司的,移植的成本,时间大不,贵公司是否有相关的帮助答:如果客户有处理器(最好是ARM)方面的开发经验,那么Zynq的开发相对比较简单.
Q122:请问所附带的ADC速度如何是否能适用于目前普遍通信制式系统信号处理的开发中谢谢!
答:最多可接17路外部模拟量,采样速度1MHz,精度12-bitQ123:ARM是怎样控制FPGA部分的上电或者加载答:同DeviceConfiguration端口来配置FPGA,Xilinx提供了一个FirstStageBootloader的模板程序,可以加载FPGA的bitstream、以及处理器系统的其他.
elf代码.
Q124:在一款芯片内部集成并连接ARM和FPGA,比起外部直接用2块芯片来做,请问你们的设计达到了哪些优势例如内存共享等.
答:Zynq-7000是一个真正的高性能SoC,在单个芯片中客户可以方便地进行软、硬件任务的划分,可减少板子的面积、简化电源设计、降低成本等,适合作为不同产品的研发平台.
Q125:请问zedboard的vGA输出的操作系统有没有答:有VGA输出接口Q126:这个片子和通常使用的FPGA程序加载有什么区别也是通过JTAG口答:在调试阶段,可以使用JTAG分别调试PS和PL,正常工作时,是PS先Boot,然后负责加载、配置FPGA电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
comQ127:我想问一下,Zynq平台和其他FPGA平台做兼容性设计的时候(比如Spartan-6+Microblaze),有没有通用的或者方便移植的GUI环境,比如在Zynq上使用QT,Microblaze上是否也支持QT,或者是有有其他的两个平台都支持的兼容型GUI开发环境答:目前,Xilinx器件处理器系统的开发都是在XPS+SDK上,你提到的QT是处理是否支持QT框架.
Zynq是可以支持Qt的.
Q128:Zynq电源供电设计有上电要求答:上电顺序有比较严格的要求,请参考ds187.
pdfPage-6/Page-7,下载地址在http://www.
xilinx.
com/support/documentation/data_sheets/ds187-XC7Z010-XC7Z020-Data-Sheet.
pdfQ129:PS系统和PL之间有9条AXI总线,其中4条是32位宽,5条是64位宽,以接口速率250MHz为例,总带宽超过10GBps,远远大于通常的PCIe或者RapidIO等芯片级互联接口答:是的,Zynq里面的PS和PL之间的带宽是很宽的,可以满足绝大多数无线和视频等高速信号处理的需求.
Q130:请问芯片的的发热如何,能否工作在比较高的温度环境中答:用户可以选择工业档芯片,节温范围可以达到:-40~100度Q131:能使用普通ARM开发环境进行开发Zynq吗答:处理器系统的硬件配置使用XPS,软件开发可以使用Xilinx的SDK或其他第三方的开发环境如ARM的DS-5等.
Q132:在开发中,XC7Z010-CLG484和CLG225在开发中主要有哪些不一样PS操作DDR主要有MMU吗PL使用DDR如何管理存储地址答:CLG225因为封装小,MIO仅有32个,其他封装都是54个;DDR仅支持16-bit宽度,不支持32-bit.
PS访问DDR主要是通过SCU.
Q133:FSBL加载是什么意思答:FSBL加载就是把FSBL从外部存储器中拷贝到OCM中运行.
Q134:请问抗干扰能力如何,能否稳定工作在高电压,强磁场的环境中答:抗干扰设计比较复杂,并不只是取决于器件,电源、地的设计,PCBLayout等都有影响.
Q135:SPIFlash有128MB的吗答:是的,6月中旬会在驱动方面提供支持.
Q136:这个ARM硬核可以跑到多少M只有A9硬核吗逻辑资源和大概K7V7电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
com还是spartan相比差距有多少呢这个和成本和功耗的优势大概有多少呢这个使我们比较关心的.
以为单芯片的毕竟布线要简单一下.
但是不清楚功耗和成本能节省多少答:A9的主频取决所选择的器件和速度等级:7010/7020:667MHz/-1Speed;733MHz/-2Speed;800MHz/-3Speed,逻辑资源最大可达440K个LC,其他资源请参考产品手册.
Q137:开发使用什么软件支持是收费的吗答:处理器系统的硬件配置使用XPS,软件开发可以使用Xilinx的SDK或其他第三方的开发环境如ARM的DS-5等.
可在Xilinx官方网站上下载,有免费版本.
Q138:对于完全没有FPGA开发经验,使用这款芯片的开发难度有多大答:比较大,除了FPGA的设计之外,希望客户有处理器方面的开发经验.
Q139:请问Zynq开发板提供FPGA的PowerlinkIP吗是否免费答:PowerLinkIP的问题可以直接和我们本地的工程师沟通.
Q140:会不会考虑采用其它内核如M3,M0答:目前没有这个计划,但Xilinx还有基于软核处理器Microblaze的方案,完全可以替代M3,M0.
Q141:A9比Cortex-M4在高端应用中更有优势主要指那些应用答:M4一般应用于性能要求不高应用场合,相当于一个高端的单片机.
而A9的处理性能要高的多,应用范围更为广泛.
Q142:Zynq现在是否已经量产是否市面上已经有货答:可以,7010/7020/7030/7045已经量产.
Q143:NORFlash接口速度多少答:NOR的接口速度不仅取决于Zynq内部的SMCController,而且取决于用户选则的NORFlash芯片.
Q144:采用什么下载器下载程序答:调试阶段,可以用JTAG下载代码、bitstram,量产之后,代码、bitstream可以存放在BootMemory中,由Bootloader负责加载.
Q145:请问是否支持LCD答:支持,可以提供相应的IP和驱动Q146:目前的主流开发环境支持这款芯片吗还是需要更高版本的开发环境答:目前主流的开发环境都可以支持Zynq,具体的版本可在Xilinx网站找到.
Q147:Xilinx的除法能力怎么样请从精度和速度方面讲解一下,谢谢!
电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
com答:请问是定点除法还是浮点除法A9可以做定点运算,NEON/FPU可以做浮点运算,另外,Xilinx和第三方也有用逻辑资源实现的IP.
Q148:这个ARM硬核可以跑到多少M只有A9硬核吗逻辑资源和大概K7V7还是spartan相比差距有多少呢这个和成本和功耗的优势大概有多少呢这个使我们比较关心的.
以为单芯片的毕竟布线要简单一下.
但是不清楚功耗和成本能节省多少答:只有A9硬核,可以运行在800M到1G的频率,看具体的芯片信号.
Q149:Xilinx的除法能力怎么样能从其处理的精度和速度方面讲解一下吗答:请问是定点除法还是浮点除法A9可以做定点运算,NEON/FPU可以做浮点运算,另外,Xilinx和第三方也有用逻辑资源实现的IP.
Q150:此款SOC工作温度范围多少答:商业级:0~85度工业级:-40~100度E级:0~100度汽车级:-40~125度军品级:-40~125度Q151:采购和样片提供,如何获取答:请联系本地销售工程师Q152:usb-miniZynq开发板什么时候推出呀答:今年下半年有计划推出Q153:FPGA既然需要配置芯片,那么ARM的软件是否可以和FPGA的配置文件放在一处呢答:可以放在同一个Flash中.
Q154:开发板自带仿真工具吗答:不带,可以直接使用MacroUSB线下载bitstream、应用程序代码,然后进行调试.
Q155:XADC是属于PS部分还是PL部分答:属于PL部分,但PS和PL都可以访问XADC.
Q156:ZYNQ最高主频能达到多高答:1GHzQ157:ARM的时钟和FPGA的系统时钟使用同一个时钟引脚还是分开的,或者说7000的时钟树是咋样的电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
com答:ARM有一个时钟输入引脚,PS_CLK,经过内部的PLL之后,产生PS部分所需的各种始终,如ARMCore、Interconnect、各种IOP,DDDR的时钟,当然也可以产生4路不同的时钟信号给PL,PL当然也可以使用从外部管脚来的时钟信号.
Q158:提供的案例源代码开放吗答:我们的很多Demo都可以提供SourceProject和SourceCodeQ159:该款芯片中文资料多吗答:《嵌入式系统软硬件协同设计实战指南:基于XilinxZynq》Q160:能详细介绍zynq内部数据总线的连接方式答:Zynq中PS内部个中模块之间的连接一般是AXI或APB,AHB,但对外部逻辑的接口一般都是AXI.
Q161:我们有一种应用,就是需要为FPGA存储一张表格,表格的大小是512x512x8bit.
目前我们是通过外挂SDRAM来实现,请问专家是否可以用NORFLASH来实现呢Flash的访问延时大概多少答:可以考虑使用PL部分的BRAM,NORFlash的访问延时请参考NOR的器件手册.
Q162:请问这个ARM里有PWM的模块吗答:没有,用户可以用PL部分的逻辑资源实现,不是很难Q163:支持的视屏图像编解码标准及软硬答:Zynq内部没有VideoCodec.
Q164:芯片含有无线通信功能吗答:没有Q165:如果只有Cortex的使用经验,现在再入手XilinxZynq容易吗该怎样快速上手谢谢.
答:《嵌入式系统软硬件协同设计实战指南:基于XilinxZynq》Q166:PCB设计有无特殊要求答:有,Xilinx提供有相关的文档可以参考,用户也可以咨询我们本地的工程师Q167:高速串行通道的速率最高到多少应该不是专为PCIE准备的吧我的意思是可以跑用户自定义的协议吧答:12.
5Gbps,可以运行用户自定义协议.
Q168:FPGA与A9内核可以共用同一颗flash芯片吗答:可以电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
comQ169:有没有简易版的仿真器提供答:可以直接使用MacroUSB线下载bitstream、应用程序代码,然后进行调试.
不一定需要仿真器.
Q170:程序能使用编程器直接烧写吗答:可以,但一般是看是哪一种non-volatile存储器,可以使用SDK中的FlashProgrammer小工具来烧写QSPI、NORFlash,或者使用SD读卡器写入SD卡、对于NANDFlash,可以使用专门的编程器、u-boot.
Q171:可靠性有无保障答:ZYNQ芯片已经推出了一年半,在稳定性可靠性方面已经有充分保证,客户可以放心使用.
Q172:支持哪些具体的操作系统答:几乎所有主流的OS都支持,如Vxworks,OSE,uc/OS,WinCE,Android,QNX,ThreadX.
等Q173:芯片本身能够加密吗答:用户生成的bitstream、elf可执行代码,都可以是经过加密的,在下载的的时候PL部分有解密模块.
Q174:个人感觉ARM居于主导地位,想请问FPGA程序加载后是否还可以通过独立的JTAG来进行FPGA的调试呢答:可以Q175:FSBL完成后,那么用户自己的应用程序写在什么地方处在什么阶段答:看用户是利用什么操作系统做开发,如果是linux中间还有u-boot,内核,然后才是应用程序.
应用程序一半放到ramdisk中.
Q176:双核之间是何种具体关系答:主从核的差异只体现在启动阶段,CPU0先启动然后唤醒CPU1运行,后续根据用户的设计来分配双核的工作,芯片本身对双核没有差异处理.
Q177:Zynq有GPRS接口吗答:没有Q178:ISE13.
4的版本就可以用来开发7020吗答:ISE14.
1~ISE14.
5都可以,我们建议用最新的版本.
Q179:实际上ARM内核使用的内存空间是不是就是调用的FPGA的blockRAM之类的呢答:不是,ARM有自己的L1/L2Cache,256KByteOCM,静态RAM控制器SMC(可接SRAM),以及DDRController(可接DDR2,DDR3,LPDDR2)电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
comQ180:我在考量一个通信系统处理项目,希望能兼顾嵌入式系统的操控以及FPGA对通信系统的数字处理,希望能获得开发套件进行项目系统的开发.
答:请联系我们的工程师.
Q181:有无低碳优势答:Xilinx提供lead-free无铅封装.
Q182:专家能展望下OpenCL在未来FPGA开发中的前景吗多谢!
答:Xilinx有推出HLS工具,可以实现CtoRTL转换.
十分方便做算法的工程师使用.
我们认为是个未来方向.
Q183:请问双核以及逻辑器件的共同使用,在功率上消耗有多大,在低功耗上有何解决方法和可行方案答:功耗取决于所使用的资源的数量、工作频率、ToggleRate等,Zynq-7000采用HPL(高性能、低功耗)的制造工艺,功耗要优于竞争对手的同类产品.
Xilinx有一个功耗估计的工具XPE,用户根据设计的情况输入相关的参数,该工具可以估算出一个大致的功耗数据.
当然也可以使用ZC702板子实际测量功耗.
我们的工程师可以就降低功耗提供一些有用的建议.
Q184:有哪些封装答:CLG225,CLG400,CLG484,FBG676,FFG676,FFG900,FFG1156,请参考产品手册Q185:可以配置OTG吗答:可以.
Q186:能讲下ZYNQ各个部分的时钟分配的答:请参考ug585.
pdf第25章,下载地址在http://www.
xilinx.
com/support/documentation/user_guides/ug585-Zynq-7000-TRM.
pdfQ187:请问:7000系列的USB、I2C接口和URAT接口可否同步使用谢谢答:是指同时使用吧,这个当然可以.
Q188:带有哪些具体的实用接口答:PS部分的IOP有:IIC,UART,CAN,USB,Ethernet,SD/SDIO,SPI,GPIO,基本上涵盖了常用的外设.
Q189:产品的优势是什么那个厂家已经采用答:我们在很多应用各领域都有成功案例,越来越多的客户已经开始Zynq-7000的设计,请联系本地的技术支持工程师.
Q190:Zynq在运行过程中要对程序进行升级,怎么解决加密问题安富利专家答:请参考ug585.
pdf第32.
4章节,http://www.
xilinx.
com/support/documentation/user_guides/ug585-Zynq-7000-电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
comTRM.
pdfQ191:ARM与FPGA结合的优势是什么答:Zynq-7000是一个真正的高性能SoC,在单个芯片中客户可以方便地进行软、硬件任务的划分,可减少板子的面积、简化电源设计、降低成本等,适合作为不同产品的研发平台.
Q192:Cortex-A9与Cortex-M4相比,优点在哪里Cortex-A9主要面向哪些领域,也就是说在哪些领域Cortex-A9更能发挥自己的优势答:A9比M4在高端应用中更有优势,A9性能非常强大,面向相对高端应用,比如无线基站,图像处理,自动导航,工业控制中的数据采集,机械设觉,等等.
更多应用请联系当地Avnet应用工程师,Zynq只提供cortex-A9Q193:ARM和FPGA的开发控制效率怎样答:ARM适合做一些控制,FPGA适合高速信息处理Q194:它内部的ARMA9双核,和其他ARM芯片在用起来比如运行OS系统上有没有什么特别的地方答:ARM芯片本身与其他ARM芯片差异不大,主要是开发流程中多了FSBL这个加载步骤,这对后续运行OS没有太大影响.
Q195:ISE中对于与ARM相联系的部分,是否已经做好了一个专用的IP模块,直接调用就可以答:Avnet已经有一个例程让你直接使用ARM,还有一个例程直接使用逻辑,方便硬件工程师或者软件工程师早起上手,具体请联系当地Avnet应用工程师.
Q196:在电机控制方面有哪些支持吗答:Avnet作为top代理商,有本地的设计部门专门从事方案的设计.
针对电机控制方面,FPGA设计团队专门设计了完整的基于FPGA逻辑的矢量控制算法,把电流环、速度环、位置环都用逻辑来实现,实现了很高的性能,也可以方便实现多轴的电机控制.
同时也和贝加莱合作开发了Powerlink主站和从站的工业以太网方案.
我们还和KW合作在Zedboard上移植了softPLC方案.
总的来说,Avnet提供的工业方案还是比较完善的,涵盖了从硬件到工业软件的应用.
如果有具体需求,可以联系Avnet的本销售人员.
Q197:Bootromo将其从外部存储设备拷贝到OCM.
这句话说bootrom里程序是用户写的吗答:BootRom不是用户自己写的,是CPU出厂就烧写到芯片内部的.
Q198:DMIPS中的D是什么意思答:DMIPS=DhrystoneMillionInstructionsexecutedPerSecond.
D,Dhrystone,一种定点测试程序电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
comQ199:XilinxZynq在加密方面怎么做的答:Flash或者SDcard中的加载bistrreaam是加密的,解密秘钥在Zynq内部,Zynq先解密,然后加载PS、PL、OS.
秘钥有两种存储方式,储存在一次性编程的eFUSE里面,掉电不丢失秘钥,或者存储在BBRAM里面,掉电丢失秘钥,某些应用中要求迅速从物理上摧毁秘钥,BBRAM满足这种要求.
Q200:FPGA是否主要针对通信、视频、嵌入式领域市场比例多大答:FPGA应用的领域很多,之前主要针对通信市场,但近几年在其他一些新兴市场也出现很多应用.
在工业控制、视频处理,医疗超声、测量仪器,汽车电子方面都有很大前景.
Zynq器件目前在工业控制和视频处理有很好的应用.
Q201:请问在视频监控这方面有没有应用案例答:FPGA在传统安防里的高清视频处理已经应用的很广泛了.
在视频分析领域目前也有一些客户在用Zynq平台来设计,也是我们很看好的一个应用.
Q202:FPU与DSP具体有什么区别啊答:FPU与CPU紧密相连,可以直接使用浮点处理器指令.
DSPslice在Zynq的逻辑部分,DSPslice的数量相当多,比如XC7Z020有220个,他们可以并行运行,提供158GMAC的性能.
Q203:启动程序主要是作用是什么答:FSBL的主要是初始化硬件,加载ssbl.
Q204:有两个独立boot答:FSBL和SSBL应该都是boot阶段执行的文件,其中fsbl负责加载ssbl.
Q205:请问IP核的Linux驱动程序可以在SDK中直接编译么答:linux的驱动最好还是在linux环境下进行编译.
Q206:目前可以支持几种操作系统有无BSP提供答:USB可以配置成OTG、Device、hostQ207:IPintegrator是否会在2013.
2中对公众开放6月份能看到吗答:Vivado2013.
1已经开放,现在已经可用了Q208:Zynq用VXWORKS使用SDK还是ARMDS-5来开发软件答:VXWORKS应该有自己的开发工具.
Q209:请问有基于Zynq的LINUX的详细资料去哪去找答:wiki.
xilinx.
com赛灵思论坛也有资料并有赛灵思公司专家回答大家的提问,大家也可以去那里提问和交流,地址在http://forums.
xilinx.
com/Q210:有在千兆以太网的应用案例参考,包括硬件和软件电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
com答:xilinx提供了一个XAPP-1082的参考样例.
Q211:XilinxZynq在图像的灰度等级处理(比如直方图)gamma矫正中及彩色校正、动态对比度处理方面有什么优势有相应的lib可用吗谢谢.
答:Xilinx有许多视频处理的IP可以涵盖您的需求.
另外,Xilinx新推出的HLS工具可以实现C-RTL的转换,方便C算法工程师做硬件验证.
最近Xilinx基于HLS又推出了OpenCV的视频库,更方便做视频产品开发.
Q212:PCIE内核集成在器件中吗答:YesQ213:芯片最高支持多大频率答:Z010,z015,Z020,800MHzQ214:带CAN接口吗答:Zedboard使用CAN功能需要外接一个PHY.
Q215:条码读码器工作电流最大多少答:Zynq不能直接完成条码阅读,若使用Zynq实现条码阅读,Zynq的功耗在2~3WQ216:Zynq能做H.
264编解码吗功能如何答:Zynq可以实现video编解码,目前是由Xilinx的第三方来提供.
如果有需求,可以联系我们Avnet的本地销售人员.
Q217:您好,7020由于管脚复用问题,无法同时支持qspi、nand、norflash,请问将来可否有更新型号的基于A9架构cpu能支持同时使用qspi、nandflash和norflash,该型号什么时候能上市答:Zynq可以同时使用这三种flash,需要借助EMIO.
Q218:请问:cortex的A系列与M系列有哪些异同点,软、硬件是否兼容谢谢答:Zynq提供的是Cortex-A9双核处理器,Xilinx提供了完整的开发平台vivado/planahead/sdk,关于A系列和M系列的异同请参考www.
arm.
comQ219:VB编程语言可用吗、答:不可以.
Q220:Zynq-7000是否可以提供少量的5伏I/O接口答:不可以.
若需要5VI/O则需要转接,Ti/IDT提供电平转换器件,具体型号请联系当地的Avnet办事处.
Q221:大端和小端可以配置吗电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
com答:不可以配置,只能是小端模式.
Q222:BootRom里的程序是写死的,还是用户可以修改如果不能修改,代码可以公开看到吗答:不能修改,出厂的时候烧好的,UG585有一些描述Q223:这个片子有独立的高速Tranciever通道最多多少个通道答:不同的片子不一样,7015/7030四个,7045有16个,7010/7020没有,谢谢.
Q224:开发中使用到哪种编程语言答:处理器的开发可以使用C、C++、汇编语言Q225:可用于电机变频控制开发吗答:刚才回答了一个关于电机控制的问题.
我们针对变频控制也有类似的方案,如果有具体需求,可以联系Avnet本地的销售人员.
Q226:使用什么编译器,是否有提供驱动库答:目前提供BSP中已经提供了各个接口的的驱动库.
Q227:Zynq器件在视频处理方面有什么优势答:高清、多通道处理、软硬件协同工作都是针对视频的应用.
这样有助于发挥Zynq里的硬件逻辑和软件ARM的功能.
很多基于C语言的视频算法都可以用逻辑来实现算法加速.
Xilinx新推出的HLS,高层逻辑综合工具可以实现从C-RTL的转换,极大地方便了做C算法的工程师实现硬件平台评估.
Q228:相关资料哪里可以下载到答:关于Zynq的资料可以去Xilinx官方网站去下载.
关于AvnetZedboard的资料,可以到www.
zedboard.
org,里面有大量的文档和一些设计人员的分享.
本研讨会的网站上我们也上载了很多重要文档,大家可以下载去学习.
Q229:對於AXI的軟硬協同教程,有無檢驗AXIIP的方法或教程文檔答:好问题,请参考xapp794,xapp1167,这是两个图像处理领域的软硬件协同处理加速的例子.
详细信息,欢迎欢迎联系avnet当地技术支持团队,访问中文Xilinx网站论坛.
谢谢Q230:873中的介绍是在PLANAHEAD中做的,据说以后要在VIVADO中做,是这样么答:建议新的设计在Vivado中进行,Vivado提供了ipinterator,应用更加方便Q231:开发工具价格如何答:具体开发工具就是大家之前用的ISE工具或者Vivado工具,里面都包含嵌入式开发工具.
具体价钱可以咨询Avnet本地的销售人员.
电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
comQ232:开发工具是否是开源的,如果不是价格怎么样答:开发工具包括Vivado,HLS,Sysgen,SDK,Xilinx提供系统的全面的设计支持,具体lincesne分单机版本和服务器版本,请联系当地avnet办事处的销售咨询价格.
Q233:FPGA逻辑设计和ARM设备驱动只能二选一吗鱼与熊掌可以兼得吗答:可兼得Q234:开发能用C吗答:可以Q235:我想问下,如果用Zynq来开发802.
11X,PHY在逻辑中实现,MAC层在ARM里面可以吗性能会怎么样答:可以.
我们可以用7045完成LTE的micaocell,类似于你的这种结构.
Q236:处理器系统的启动程序放哪里外部的Flash吗答:可以存放启动程序的有NAND\NOR\QSPIFlash以及SD卡,看具体的硬件设计Q237:ARM部分的开发软件环境是什么答:可以利用xilinx提供的开发套件,该套件支持Windows和Linux两个平台.
Q238:可以支持多显示吗答:可以支持多显示.
Q239:东西很好,我开发中如何可以获得相应的开发板,或者样品谢谢答:联系当地Avnet应用工程师,http://www.
em.
avnet.
com/en-us/design/Pages/BranchLocator.
aspx,关于开发板,安富利合作伙伴电子创新网商城有售Zedboard,购买地址:http://mall.
eetrend.
com售价2988RMB.
Q240:这个芯片也可用keil开发吗答:目前ZYNQ暂时还没有提供在keil上进行开发配套工具.
Q241:能举几个例子么有那些公司用过答:目前Zynq主要在工业控制、手持通信设备和测量仪器项目上进展顺利.
国外的许多大客户都在使用Zynq平台做开发,国内也大部分有相同应用的客户在使用Zynq.
如果有需求,可以联系我们本地的销售人员.
我们可以详细沟通.
Q242:如何得到中文文档答:目前市面上已经有一些中文书籍,刚才在戈工的PPT里,也提到了一本不错的Zynq书.
可以买来看看.
网站上的技术文档大部分还是英文的.
电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
comQ243:ARM+FPGA实在内部连接的吗同步速度怎么样答:内部有连接,内部有9条总线Q244:是否可以申请开发套件答:你可以去联系Avnet本地的销售.
联系方式见上载的Zedboard宣传页.
Q245:有机会拿到开发板不答:请参加Avnet在各地举办的研讨会,如果幸运可以拿到.
Zedboard价廉物美,请联系avnet当地代理商,另外我们会推出usb-miniZynq开发板.
Q246:XilnxZYNQ的开发周期大约多长时间(一般要求)答:取决于具体应用,人应用明确,有着开发代码积累,使用Zedboard进行演示,一周以内就可以了,若是从新开始设计,可能需要3~6个月.
Q247:操作起来和单独的ARM操作有区别吗这样的话答:如果你不用逻辑部分,可以用DS-5完成开发,几乎没有区别.
Q248:请问IP核的驱动程序可以在SDK中直接编译么答:如果是裸跑的可以,像linux最好是在linux环境编译.
Q249:提供的开发套件都很那些资源答:软件方面开发套件里面提供了各个接口的裸跑驱动,工具链,FPGA方面提供了一些IP库,种仿真工具等.
Q250:请问一下,原来用FPGA+PC的图像数据采集系统是否可以用Zynq来替代,Zynq的逻辑部分能否适合高速的数据采集系统答:可以,Zynq本身包括了一个FPGA子系统,另外提供USB/PCIe/GE多种与PC机的接口,请联系当地avnetFAE提供具体方案.
Q251:在使用ZedBoard时,2.
6内核,对于定制IP后,驱动编写没有方向.
我们参考了UG925的视频处理设计TRD,其中似乎将驱动与Linux程序一起在SDK中编译.
如果将IP的物理地址映射成内存的虚拟地址,是不是就可以不改变设备树文件.
我们的IP有INTERCONNECT,VDMA,滤波器,控制是GP接口,AXI_Lite,数据是HP接口,AXI_Stream,希望得到指导.
谢谢答:设备树是用来描述外设接口信息的,里面都是物理地址,在linux里面都是通过虚拟地址来访问的,需要通过虚实转换后才能访问.
Q252:请问怎样在PS和PL之间传输图像都需要完成什么答:使用VideoDMA连接DDRcontrollerQ253:可编程逻辑部分的程序是如何加载进去是传统的外部EEPROM芯片加载还是通过ARM核去加载答:是通过ARM核加载,需要ARM先启动.
电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
comQ254:XADC性能怎么样答:双通道12位独立1MHz采样,可以采用方式提供精度(牺牲有效采样速率),可用在在某别需要高精度但是低采样率的应用中,比如温度、压力、流量,电压,等等Q255:ZYNQ-7000有应用于软件无线电SDR相关的资料吗答:我们目前有个关于SDRonZynq的培训,里面有详细的内容.
如果有需求,可以联系Avnet本地的销售.
Q256:给车载电器应用实例答:我们有一个案例把车载音响、影像、导航、蓝牙通信继承在了Zynq里面,Zynq提供了汽车通用的CAN,提供了非常强大的计算能力和接口能力,希望您做出更多的开发.
关于车载应用具体信息,请联系当地AvnetFAE.
Q257:该芯片对设计师的要求是不是降低了答:要看从哪个方面来看这个问题,如果只是单纯的从ARM或FPGA开发来看,确实对工程师影响不大.
从系统角度来看,应该是降低了设计师的一些负荷.
Q258:这个开发板自带调试器吗答:已有一个自带调试器.
Q259:芯片里面就有BootRAMBootRom里的程序是写死的,还是用户可以修改如果不能修改,代码可以公开看到吗答:这个是由芯片厂商提供的,用户不能修改,代码不公开.
Q260:此器件与现有A9相比有哪些优势答:这是一个标准的Cortex-A9内核,另外我们提供了丰富的外设,以及可编程逻辑实现的ushuaia自定义外设、用户自定义硬件加速.
Q261:ZYNQ-7000有OTG功能吗答:支持,Zedboard上就有带一个支持OTG的USB接口.
Q262:支持在线调试吗答:支持在线调试Q263:从目前以有的基于zynq芯片的解决方案来看,比如zedboard开发板、ZC702开发板等,都是采用SD卡或QSPIflash的启动方式,有关NAND启动方式的解决方案资料很少.
众所周知,NANDflash存储容量大,被广泛应用于嵌入式系统中.
Xilinx是否有计划开发基于NAND启动技术或者是否可以提供相关解决方案另外,Xilinx官网提供的linux操作系统资料中不支持或不完全支持某些硬件,比如CAN控制器、QSPIflash控制器等,后续是否计划逐步完善相关驱动答:大多数开发板不使用NAND的原因是NAND所占用的管脚比较多.
在可以电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
com使用QSPI做快速启动+SD卡做大容量存储的情况下,开发板还是倾向于保留更多的MIO管脚用于其他用途,比如以太网等.
用了NAND以后这些MIO就无法使用了.
Q264:在我的project中,zynq板子是自己制作的,zc702没有上任何系统,为裸机运行.
NANDFlash采用的是Mircon公司的MT29F2G08ABBEAH4.
我通过SDK的XilinxTools->CreateZynqBootImage工具创建了*.
bin文件.
然后通过XilinxTools->ProgramFlash将*.
bin文件写入到NANDFlash中(通过测试代码从NAND中读出核对,*.
bin文件确已写入NAND中).
断电将zc702切换为NAND启动模式,重新上电zc702没能正常启动.
我这里有几点不是很确定,拿出来与大家讨论:1.
创建zynq_fsbl.
elf文件在SDK下,通过New->ApplictionProject,选择了ZynqFSBL模板(Templates),创建了fsbl工程,build该工程之后生成生成zynq_fsbl.
elf文件.
2.
创建*.
bin文件我通过SDK的XilinxTools->CreateZynqBootImage工具创建了*.
bin文件.
文件内容及顺序如下:the_ROM_image:{[bootloader]/zc7_work_14_4/zc7_400_e5801_v3.
1_uart0/zc7_e5801.
sdk/SDK/SDK_Export/zynq_fsbl/Debug/zynq_fsbl.
elf/zc7_work_14_4/zc7_400_e5801_v3.
1_uart0/zc7_e5801.
sdk/SDK/SDK_Export/hw/zc7_top.
bit/zc7_work_14_4/zc7_400_e5801_v3.
1_uart0/zc7_e5801.
sdk/SDK/SDK_Export/zc7_uart_test/Debug/zc7_uart_test.
elf}其中,zc_top.
bit为用户bit文件,zc7_uart_test.
elf为用户C代码可执行文件.
问题(1):上述创建zynq_fsbl.
elf文件方法有什么问题没对这样创建生成的fsbl工程不做任何修改,直接build之后拿来用,能行吗(事实上,我还没有很透彻地理解该如何去裁剪fsbl模板)问题(2):创建*.
bin文件没什么问题吧问题(3):若上述两个步骤没什么问题,为什么zc702不能从NANDflash正常启动答:FSBL进入main函数,肯定没有问题的.
可以在fsbl_debug.
h中定义FSBL_DEBUG_INFO,打开调试信息,看状态.
或者直接在SDK中,调试FSBL,看前面的基本流程,能执行下去不#defineFSBL_DEBUG_INFOQ265:如何使用Zynq-7000DDR控制器地址映射将AXI地址转换至DRAM寻址电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
com答:通常,Xilinx设计工具提供一个默认Row/Bank/Column寻址安排,并且在大部分情况下应予以使用.
此问答记录末尾所附文件提供了一则所用地址映射的配置范例,下载地址:http://china.
xilinx.
com/Attachment/51790/Zynq_DDRC_Addressing.
pdfQ266:关于Zynq-7000-不支持安全启动功能答:不支持安全启动模式.
安全会受到威胁,当试图以安全模式启动时系统会挂起.
影响:较小非安全启动模式照常运行.
解决方法:无,使用非安全启动模式受影响的配置:全部.
受影响的器件修订版本:参考(Xilinx答复47916)-Zynq-7000设计咨询主答复记录Q267:ZC702支持什么QSPI时钟模式/速度答:由于MIO8引脚连接于装入程序并用于GPIO,ZC702仅支持Compilethekernelwithdebuginfo(通过调试信息编译内核)"来编译Linux库.
在Lauterbach中使用启动实例脚本,您可以在下列网页中找到该脚本:http://china.
lauterbach.
com/scripts.
html.
下面是该脚本所执行的操作:-连接至Zynq-下载vmlinux-下载devicetree.
dtb-下载ramdisk映像-载入Linux内核符号-初始化MMU-初始化LinuxAwareness-启动Linux注意:在运行Linux内核之前,需要在电路板上运行FSBL和U-boot(例如初始化DDR).
您可以在SD卡上复制含有FSBL+U-boot的BOOT.
bin,并在SD卡上启动该执行程序,并在u-boot提示下停止运行该程序.
电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
comQ269:有关Zynq-7000APU–PLD指令可能在禁用数据缓存中分配问题答:无论处理器配置设置情况如何,包括数据缓存启用(DataCacheEnable)位是什么值,PLD指令会预取和分配任何标记为Write-Back的数据(Write-Allocate或Non-Write-Allocate,Shared或Non-Shared).
这会产生数据一致性问题.
如果数据缓存启用后就不会发生这个问题.
解决方法需要软件在无文档记录的控制寄存器中设置一个位.
设置该位会让所有PLD指令被视为NOP.
Q270:有关Zynq-7000、SPI-主模式下设置时序取决于SPI参考时钟周期答:当SPI接口在主模式下运行时,用于MI的设置时序取决于SPI参考时钟周期.
它始终等于一个参考时钟周期.
Q271:问题:有关Zynq-7000,Quad-SPI-线性寻址模式中的控制器可能在高负载系统中会挂起答:在线性模式下,Quad-SPI控制器在大批量存储器请求情况下可能挂起.
通过使用波特率分频器降低通过控制器的数据速率.
器件在启动过程中存取不会挂起.
Q272:Zynq-7000无法在BootROM完成前访问JTAG链答:无论采用什么安全模式,JTAG串行路径都能在BootROM完成将CPU和系统切换给用户之前访问PSDAP和PLTAP控制器.
Q273:Zynq-7000,SPI-在MIO上的主模式中,当SS0信号进行断言时,SPI控制器本身会重置问题.
答:当SPI控制器经配置作为主控制器时,SS0信号是输出信号.
MIO/EMIO多路复用器中尚未使用的输入信号必须保留为取消断言的状态.
在使用MIO接口时,请将SS0控制器信号路由至EMIO接口,并将EMIOSS0输入信号分配至net_vcc(这可能不是默认设置).
Q274:Zynq-7000EPP,ID-PS系列IDCODE值错误问题答:软件可在PSslcr.
IDCODE[27:21]寄存器位中读取的7位系列IDCODE值是错误的.
所读取的寄存器值为0x1D,而正确的值应该为0x1B.
Q275:14.
2ProjectNavigator-在"设计目标和策略"中,Zynq器件只有"平衡"的设计目标答:如果在ISE设计工具中选择Zynq器件作为目标,则在"设计目标和策略"中只能选择"平衡"的设计目标.
解决方案Virtex-7FPGA项目所用的相同的设计目标策略对于Zynq器件项目而言也应该是可用的.
但是,在ISEDesignSuite14.
2中,这些策略并没有正确链接到电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
comZynq项目.
平衡的策略可用是因为它是每个系列的默认设置.
面向Zynq器件的设计目标将被添加到ISEDesignSuite14.
3.
如果要在ISEDesignSuite14.
2中使用面向Zynq项目的Virtex-7FPGA设计目标策略,请执行以下操作:从/ISE/virtex7/data拷贝*.
xds文件并将其粘贴到/ISE/zynq/data中.
编辑/ISE/zynq/data目录中的每个.
xds文件,并修改器件系列行.
to:此外,每个Virtex-7策略也能在策略编辑器中打开并保存为Zynq策略:选择项目->设计目标和策略……选择编辑策略.
在编辑设计策略窗口点击面向策略文件的Browse(.
.
.
)按钮.
在/ISE/virtex7/data下浏览和选择所需的策略.
点击对话框中的OK.
对话框通知您,您将打开与当前项目所用不同器件系列的策略.
选择另存为并用新名称保存策略.
注意:采用本方法前/ISE/virtex7/data/*.
xds文件应为可写入属性.
Q276:14.
2EDK,Zynq-7000-带ECC的PSDDRC不工作,在PSDDR控制器上启用ECC,通过XMD首次读取时会出现以下XMD错误:ERROR:CannotReadfromtarget该怎么解决这个问题答:必须在ps7_init.
tcl和/或ps7_init.
c中对应于XPS输出修改以下寄存器值:将0XF80060F4修改为0x000000040XF8000B4C,与0XF8000B48相同0XF8000B54与0XF8000B50相同目前预计EDK14.
3中解决此问题.
注意,ECC始终需要存储器在使用前进行初始化(包括加载ELF应用).
EDK14.
3将在XilinxFSBL中添加初始化程序,但XMD/SDK用户在下载或使用带ECC的PSDDR之前需要运行FSBL或基于OCM的应用.
Q277:有关Zynq-7000APSoC,调试-软件可能无法区别ITM帧和FTM帧答:所有PS跟踪源均会在数据包中插入3位不可配置的ID,以便让软件来区分来自不同源的包.
FTM在包中插入的ID为010,它与ITM为16位包使用的ID发生冲突.
使用1来包装ITM可避免发生包压缩的情况,这是因为包压缩会将包的大小从78变为16.
可行方法是使用1来填充ITM包,从而可避免包的大小在压缩后变为16.
此方法目前已用于Xilinx工具中.
电子创新网创新设计精品电子书系列www.
eetrend.
com预了解更多赛灵思FOGA开发知识,请登陆创新网赛灵思中文社区Xilinx.
eetrend.
com缩写注释arm模块被称为PSFPGA模块被称为PLFSBL(FirstStageBootLoader)八、Zynq开发资源大全1、赛灵思官网http://china.
xilinx.
com/products/silicon-devices/soc/zynq-7000/index.
htm2、创新网赛灵思中文社区Xilinx.
eetrend.
com3、Zynq-7000APSoC系列视频教程http://www.
youku.
com/playlist_show/id_18465494.
html4、赛灵思用户社区论坛http://forums.
xilinx.
com/5、赛灵思wikihttp://www.
wiki.
xilinx.
com/6、电子技术应用赛灵思技术小组http://group.
chinaaet.
com/109/topicList7、中电网赛灵思在线研讨会http://seminar.
eccn.
com/company.
aspproductname=Xilinx&color=company

3C云1核1G 9.9元 4核4G 16元 美国Cera 2核4G 24元

3C云互联怎么样?3C云互联专注免备案香港美国日本韩国台湾云主机vps服务器,美国高防CN2GIA,香港CN2GIA,顶级线路优化,高端品质售后无忧!致力于对互联网云计算科技深入研发与运营的极客共同搭建而成,将云计算与网络核心技术转化为最稳定,安全,高速以及极具性价比的云服务器等产品提供给用户!专注为个人开发者用户,中小型,大型企业用户提供一站式核心网络云端服务部署,促使用户云端部署化简为零,轻松...

陆零(¥25)云端专用的高性能、安全隔离的物理集群六折起

陆零网络是正规的IDC公司,我们采用优质硬件和网络,为客户提供高速、稳定的云计算服务。公司拥有一流的技术团队,提供7*24小时1对1售后服务,让您无后顾之忧。我们目前提供高防空间、云服务器、物理服务器,高防IP等众多产品,为您提供轻松上云、安全防护 为核心数据库、关键应用系统、高性能计算业务提供云端专用的高性能、安全隔离的物理集群。分钟级交付周期助你的企业获得实时的业务响应能力,助力核心业务飞速成...

Friendhosting全场VDS主机45折,虚拟主机4折,老用户续费9折

Friendhosting发布了今年黑色星期五促销活动,针对全场VDS主机提供45折优惠码,虚拟主机4折,老用户续费可获9折加送1个月使用时长,优惠后VDS最低仅€14.53/年起,商家支持PayPal、信用卡、支付宝等付款方式。这是一家成立于2009年的老牌保加利亚主机商,提供的产品包括虚拟主机、VPS/VDS和独立服务器租用等,数据中心可选美国、保加利亚、乌克兰、荷兰、拉脱维亚、捷克、瑞士和波...

安全模式启动为你推荐
weipin唯品宝是什么?和唯品金融有什么关系?外网和内网内网和外网有什么区别啊?二叉树遍历二叉树三种遍历方式原则?镜像文件是什么什么是文件镜像?什么是镜像文件?办公协同软件最好用的协同办公软件是哪个腾讯文章为什么最近腾讯网的文章评论都看不到保护气球气球保护液可以用什么来代替?免费免费建站我想建一个自己的免费网站,但不知道那里有..机械键盘轴机械键盘什么轴好,机械键盘轴有几种网络广告投放网络广告的投放目的是什么?
php主机租用 独享100m 樊云 burstnet Dedicated wavecom 美国主机网 softbank官网 512au 网通服务器ip ibrs 网站挂马检测工具 免费个人空间 idc资讯 息壤代理 微软服务器操作系统 33456 上海联通宽带测速 根服务器 河南移动梦网 更多